Commit cabfae61 authored by Laurent Aimar's avatar Laurent Aimar

Added an assert in record module (invalid CID 169).

parent 00c97dd6
......@@ -210,6 +210,7 @@ static int Del( sout_stream_t *p_stream, sout_stream_id_t *id )
block_ChainRelease( id->p_first );
es_format_Clean( &id->fmt );
assert( !id->id || p_sys->p_out );
if( id->id )
sout_StreamIdDel( p_sys->p_out, id->id );
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment