Commit 6766179f authored by Rémi Denis-Courmont's avatar Rémi Denis-Courmont

Cosmetic

parent 216b14b6
...@@ -111,7 +111,7 @@ int vlclua_push_vlc_object( lua_State *L, vlc_object_t *p_obj ) ...@@ -111,7 +111,7 @@ int vlclua_push_vlc_object( lua_State *L, vlc_object_t *p_obj )
} }
static int vlclua_get_vout( lua_State *L ) static int vlclua_get_vout( lua_State *L )
{ {
input_thread_t *p_input= vlclua_get_input_internal( L ); input_thread_t *p_input = vlclua_get_input_internal( L );
if( p_input ) if( p_input )
{ {
vout_thread_t *p_vout = input_GetVout( p_input ); vout_thread_t *p_vout = input_GetVout( p_input );
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment