Commit b28e3b4a authored by Jean-Baptiste Kempf's avatar Jean-Baptiste Kempf

UleadDVAudio: fix signedness warning

parent 6972648a
......@@ -80,7 +80,7 @@ static block_t *Decode(decoder_t *dec, block_t **block_ptr)
return NULL;
}
const int block_size = sys->is_pal ? 8640 : 7200;
const unsigned int block_size = sys->is_pal ? 8640 : 7200;
if (block->i_buffer >= block_size) {
uint8_t *src = block->p_buffer;
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment