Commit 96250779 authored by Jean-Paul Saman's avatar Jean-Paul Saman

Small cleanup

parent a4056df2
......@@ -1296,7 +1296,7 @@ static int Open ( vlc_object_t *p_this )
p_enc->fmt_out.p_extra = p_tmp;
memcpy( (uint8_t*)p_enc->fmt_out.p_extra + p_enc->fmt_out.i_extra,
p_sys->p_buffer, i_size );
p_sys->p_buffer, i_size );
p_enc->fmt_out.i_extra += i_size;
}
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment